Có cách nào rẻ tiền để bắt đầu với chip GAL (Generic Array Logic) không?


10

Các chip GAL có vẻ đắt khi bắt đầu, vì các lập trình viên có giá hàng trăm đô la và thậm chí cả cáp ISP cũng không rẻ.

Có cách nào rẻ hơn không?


4
Tôi đã luôn nghe nó được kết xuất là "Logic Array Gate". "Logic mảng chung" là mới đối với tôi.
Sói Connor

2
vi.wikipedia.org/wiki/Generic_array_logic Đó là những gì họ luôn gọi họ trong các lớp EE của tôi.
samoz

Câu trả lời:


5

Tôi thậm chí không chắc GAL vẫn còn ở đây ngày hôm nay. Tôi tin rằng CPLD và FPGA được ưa thích, mặc dù tôi không phải là chuyên gia. Bạn có thể đào bộ dụng cụ phát triển từ digilentinc.com. Có bộ CPLD với giá 18 đô la và cáp lập trình USB JTAG là 50 đô la. Những bộ phận đó là Digilent C-Mod và Cáp JTAG-USB. Tôi tin rằng phần mềm (ít nhất là phần mềm khởi động) là miễn phí. Chúc may mắn!


3
Tôi chỉ nghĩ rằng hơi vô lý khi các lập trình viên nhiều hơn gấp đôi so với bộ công cụ.
samoz

Chip có giá rẻ. Chà, dây cáp phải rẻ, nhưng có một chút thông minh trong dây cáp. Và nó là độc quyền. Vì vậy, yeah, nó là một chút vô lý.
AngryEE

Nếu chip rẻ, hãy sử dụng cpld để tạo lập trình viên cpld ... được đăng như một trò đùa, nhưng đó thực sự là những gì bạn sẽ tìm thấy bên trong rất nhiều. Thông thường, các USB là FT245 và cùng một CPLD được sử dụng trước đây trong phiên bản song song.
Chris Stratton

4

Dưới đây là sơ đồ cho một PCB sản xuất tại nhà đơn giản để thử nghiệm với các CPLD Xilinx XC9536. Nó có một đầu nối cho cáp lập trình Xilinx, ổ cắm cho bộ tạo dao động tinh thể và đèn LED, cũng như một khu vực tạo mẫu nhỏ. Các tập tin tác phẩm nghệ thuật có sẵn.


4

GAL tiêu chuẩn cần điện áp và dạng sóng thú vị để lập trình. Lattice thực hiện một số sản phẩm 'ispGAL' có thêm giao diện IS, nhưng trừ khi bạn muốn sử dụng DIL và / hoặc 5V, các CPLD cấp thấp có khả năng lập trình dễ dàng hơn và dễ dàng hơn.


Khi sử dụng trang web của chúng tôi, bạn xác nhận rằng bạn đã đọc và hiểu Chính sách cookieChính sách bảo mật của chúng tôi.
Licensed under cc by-sa 3.0 with attribution required.