Làm cách nào để sử dụng Verilog HDL trên Ubuntu?


8

Tôi đã cố gắng bắt đầu một khóa học nhỏ với một số ví dụ trong Verilog Hdl và sau khi dành nhiều thời gian tìm kiếm Trình mô phỏng hoặc IDE tốt nhất để thực hành với một số ví dụ, tôi đã thất bại trong việc tìm ra cách sử dụng nó trên Ubuntu 12.04

Vì vậy, tôi có hai câu hỏi:

  1. Làm cách nào tôi có thể sử dụng Verilog trên Ubuntu 12.04?

  2. Trình mô phỏng (hoặc IDE) tốt nhất có sẵn cho Linux là gì?

Câu trả lời:


3

Bạn có thể tải xuống trình giả lập Linux Verilog của SynpatiCAD tương thích với Ubuntu. Nó bao gồm một trình giả lập dòng lệnh và IDE đồ họa. Sau khi bạn cài đặt nó, bạn có thể chạy công cụ và yêu cầu giấy phép 6 tháng miễn phí cho trình giả lập.


5

Bài đăng này có giúp được không: Verilog và VHDL trên Linux (Ubuntu) ?

Nó khuyến nghị sử dụng kết hợp Icarus Verilog ( iverilogtrong kho) cho mô phỏng Verilog, GHDL cho mô phỏng VHDL và GTKWave ( gtkwavetrong kho) để xem dạng sóng.

Nếu bạn muốn có một trình soạn thảo Verilog dựa trên Eclipse, hãy thử veditor .


nhưng Veditor không mở tôi mở nó bằng JDK6 và không có phản hồi hoặc có một cách khác để khởi chạy nó
amrro

Nó không phải là một sản phẩm độc lập, mà là một plugin nhật thực; cài đặt nhật thực, sau đó làm theo hướng dẫn tại đây: sourceforge.net/apps/mediawiki/veditor/ mẹo
Tom Regner

ok tôi muốn một ưu tiên khác ,, bạn có thể cập nhật câu trả lời của mình bằng cách cài đặt icarus verilog ,, tôi không thể cài đặt nó
amrro

ghdl cho tôi lỗi đó ... Gói ghdl không có sẵn, nhưng được gọi bởi gói khác. Điều này có thể có nghĩa là gói bị thiếu, đã bị lỗi thời hoặc chỉ có sẵn từ một nguồn khác E: Gói 'ghdl' không có ứng cử viên cài đặt
amrro

À - tìm thấy mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html ; do các vấn đề về xây dựng, ghdl đã bị hủy khỏi ATM debian và do đó, trong ubfox kể từ ngày 12.04; bạn có thể muốn tự biên dịch nó (và cài đặt nó qua checkinstall) - nhưng nói / viết cho bạn thông qua đó là vượt quá những gì thời gian của tôi cho phép
Tom Regner

1

Bạn cũng có thể sử dụng các môi trường IDE để phát triển FPGA. Altera Quartus cho Altera (Intel) FPGA hoặc Xilinx ISE cho các thiết bị Xilinx. Các môi trường này cho phép bạn viết mã nguồn VHDL và Verilog và bao gồm các trình giả lập.


Cá nhân tôi đang sử dụng Quartus Prime, IDE đủ tốt, mặc dù nếu bạn định tải mã Verilog lên đồ họa thực tế, bạn cần chỉnh sửa các quy tắc udev để thiết bị được nhận dạng.
Sergiy Kolodyazhnyy

1
sudo apt-get install iverilog;

sudo apt-get install gtkwave;

Để xem mọi thứ có hoạt động chính xác hay không, hãy thực hiện thế giới chào của verilog.

nano hello.v

sau đó viết mã hello-world sau đây

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

Sau đó biên dịch

iverilog hello.v -o hello

và cuối cùng chạy mã của bạn

vvp hello

-1

Hãy thử lệnh này trong một cửa sổ đầu cuối:

sudo apt-get install gplcver

Điều này không trả lời câu hỏi. Hãy giải thích câu trả lời của bạn. Gplcver là gì? Làm thế nào để sử dụng nó?
Eric Carvalho
Khi sử dụng trang web của chúng tôi, bạn xác nhận rằng bạn đã đọc và hiểu Chính sách cookieChính sách bảo mật của chúng tôi.
Licensed under cc by-sa 3.0 with attribution required.