Câu hỏi được gắn thẻ «xilinx»

Một nhà sản xuất phổ biến của FPGA (Mảng cổng lập trình trường) và CPLD (Thiết bị logic lập trình phức tạp).






1
Nhân trong VHDL
Tôi đang cố gắng tạo một MACC đơn giản để hoạt động, nhưng nó làm được những điều không ngờ tới. Phép nhân không hoạt động. 00001 * 00001 xuất 00000 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity macc is Port ( clk : in STD_LOGIC; rst : …
7 vhdl  xilinx 

Khi sử dụng trang web của chúng tôi, bạn xác nhận rằng bạn đã đọc và hiểu Chính sách cookieChính sách bảo mật của chúng tôi.
Licensed under cc by-sa 3.0 with attribution required.