Câu hỏi được gắn thẻ «fpga»

Mảng cổng lập trình trường (FPGA) là một chip logic được cấu hình bởi khách hàng sau khi sản xuất, do đó "lập trình trường".

2
Số giữa các dấu ngoặc vuông trên sơ đồ đồ họa
Tôi đang nghiên cứu sơ đồ của một bảng dev. Tôi đã nhận thấy rằng nhiều chân có một số giữa dấu ngoặc vuông. Tôi đính kèm một ảnh chụp màn hình của một phần của sơ đồ, với những số này được khoanh tròn màu xanh lá cây. Hơn …
12 fpga  schematics 



4
Thiết kế phần sụn: Làm thế nào lớn là quá lớn?
Tôi có một biến đổi xử lý tín hiệu đặc biệt lớn cần được chuyển từ MATLAB sang VHDL. Nó chắc chắn đòi hỏi một số loại chia sẻ tài nguyên. Một chút tính toán đã cho tôi như sau: 512 điểm của 64 điểm 41210 phép toán cộng Xem …
12 fpga  vhdl  xilinx 

7
Bảng mạch dev giá rẻ [đóng]
Đóng cửa. Câu hỏi này không đúng chủ đề . Nó hiện không chấp nhận câu trả lời. Bạn muốn cải thiện câu hỏi này? Cập nhật câu hỏi để nó thuộc chủ đề cho Trao đổi ngăn xếp kỹ thuật điện. Đóng cửa 4 năm trước . Tôi muốn …
12 fpga  vhdl  jtag 

6
sách giới thiệu về FPGA [đã đóng]
Đã đóng cửa. Câu hỏi này không đúng chủ đề . Nó hiện không chấp nhận câu trả lời. Bạn muốn cải thiện câu hỏi này? Cập nhật câu hỏi để nó thuộc chủ đề cho Trao đổi ngăn xếp kỹ thuật điện. Đóng cửa 2 năm trước . Những …
12 fpga  books  vhdl 





3
Xuất khẩu hạn chế đối với các thành phần như FPGA
Tôi đang xem xét nâng cấp các GPU trên một sản phẩm của tôi từ các Spartan3A-200 nhỏ lên các Spartan6 cỡ thấp đến trung bình. Spartan6s thực sự rẻ hơn và tôi đã vượt xa 200. Có vẻ như đó là một sai lầm khi thiết kế trong một …
11 fpga 


4
Bảng mạch đề xuất [đóng]
Đã đóng cửa. Câu hỏi này không đúng chủ đề . Nó hiện không chấp nhận câu trả lời. Bạn muốn cải thiện câu hỏi này? Cập nhật câu hỏi để nó thuộc chủ đề cho Trao đổi ngăn xếp kỹ thuật điện. Đóng cửa năm ngoái . Đây là …
11 fpga  picoblaze 

9
Newbie dự án trên một FPGA?
Đã khóa . Câu hỏi này và câu trả lời của nó bị khóa vì câu hỏi không có chủ đề nhưng có ý nghĩa lịch sử. Nó hiện không chấp nhận câu trả lời hoặc tương tác mới. Tôi còn hai tuần nữa để hoàn thành khóa học thiết …
11 fpga  design  vhdl  verilog 

6
Ví dụ mã cho bộ lọc FIR / IIR trong VHDL?
Tôi đang cố gắng bắt đầu với DSP trong bảng Spartan-3 của mình. Tôi đã tạo ra một bảng AC97 với một con chip từ một bo mạch chủ cũ và cho đến nay tôi đã có nó để làm ADC, nhân các mẫu cho một số <1 (giảm âm …
11 fpga  vhdl  dsp  iir  fir 

Khi sử dụng trang web của chúng tôi, bạn xác nhận rằng bạn đã đọc và hiểu Chính sách cookieChính sách bảo mật của chúng tôi.
Licensed under cc by-sa 3.0 with attribution required.